Pat Gelsinger, Intel CEO, introduces Intel Foundry during the Intel Foundry Direct Connect event on Wednesday, Feb. 21, 2024. (Credit: Intel Corporation).

Pat Gelsinger, Intel CEO, introduces Intel Foundry during the Intel Foundry Direct Connect event on Wednesday, Feb. 21, 2024. (Credit: Intel Corporation).

Intel Foundry: racing for chip supremacy with 18A tech and Microsoft onboard

  • Intel launches Intel Foundry for the AI era, unveils extended process roadmap for industry leadership.
  • Microsoft selects Intel 18A for chip design, Intel Foundry announces.
  • Intel adds Intel 14A to the roadmap, affirms the 5N4Y plan is on track and anticipates leadership with 18A in 2025.

Once a dominant semiconductor force, Intel has faced significant challenges from rising competitors in recent decades. But, fueled by a strategic overhaul and innovative technologies in recent years, the Silicon Valley behemoth is primed for a resurgence. In 2021, Intel initiated its comeback with an extensive technology roadmap, culminating this week in the launch of its contract chip manufacturing business. Branded as the “world’s first systems foundry” for the AI era, the move signals Intel’s intention to challenge Asian rivals like TSMC and Samsung for industry supremacy.

In a strategic pivot unveiled on February 21, Intel rebranded its contract chip-making venture from Intel Foundry Services to the sleeker, more succinct title of Intel Foundry. This renaming marks a significant step in CEO Pat Gelsinger’s plan, announced in early 2021, to revitalize Intel’s manufacturing sector and establish a formidable presence in the chipmaking ecosystem. Embracing Gelsinger’s ambitious IDM 2.0 strategy, Intel Foundry represents an evolution of the company’s integrated device manufacturing model. 

Pat Gelsinger, Intel CEO, introduces Intel Foundry during the Intel Foundry Direct Connect event on Wednesday, Feb. 21, 2024, in San Jose, California. (Credit: Intel Corporation).

Pat Gelsinger, Intel CEO, introduces Intel Foundry during the Intel Foundry Direct Connect event on Wednesday, Feb. 21, 2024, in San Jose, California. (Credit: Intel Corporation).

This initiative involves substantial investments in manufacturing capabilities, alongside a renewed focus on contract chip manufacturing and strategic collaborations with external foundries. With Intel Foundry at its core, Gelsinger’s comeback blueprint aims to fortify Intel’s product lineup and position the company as a leading provider of cutting-edge semiconductor solutions for a diverse range of partners and clients.

AI is profoundly transforming the world and how we think about technology and the silicon that powers it,” said Gelsinger. “This is creating an unprecedented opportunity for the world’s most innovative chip designers and Intel Foundry, the world’s first systems foundry for the AI era. Together, we can create new markets and revolutionize how the world uses technology to improve people’s lives.”

The company emphasized customer support and ecosystem partnerships. Synopsys, Cadence, Siemens, and Ansys are ready to expedite chip designs for Intel Foundry customers using validated tools, design flows, and IP portfolios for Intel’s advanced packaging and 18A process technologies.

Intel Foundry roadmap extends past 5N4Y

Foundry Process Roadmap Graphic. Source: Intel.

Foundry process roadmap graphic. Source: Intel

At this week’s event, Intel, for the first time since 2021, provided an update to its process roadmap. Intel confirmed that its ambitious plan to introduce five nodes within four years, known as 5N4Y, is progressing as planned. In fact, Intel anticipates reclaiming its position as a process leader from TSMC with Intel 18A by 2025. Intel also reaffirmed its mission to dethrone Samsung and claim the title of the world’s second-largest foundry by 2030. 

An Intel factory employee holds a wafer with 3D stacked Foveros technology at an Intel fab in Hillsboro, Oregon. (Credit: Intel Corporation).

An Intel factory employee holds a wafer with 3D stacked Foveros technology at an Intel fab in Hillsboro, Oregon. (Credit: Intel Corporation).

Beyond that, Intel revealed plans for enhanced versions of Intel 3, Intel 18, and Intel 14A, each fine-tuned to elevate performance, introduce innovative features, or incorporate the groundbreaking Foveros Direct 3-D stacking technology for cutting-edge chip designs. Furthermore, Intel hinted at extending the capabilities of Intel 3 with the introduction of Intel 3-T, where the “T” signifies the integration of Foveros Direct technology, connecting chips through a pioneering method known as through-silicon vias. 

The company then announced the forthcoming Intel 14A, slated for commercialization in late 2026, and teased a revolutionary leap in lithography technology with High NA EUV. The roadmap promises a future brimming with innovation and transformative possibilities for Intel and the semiconductor industry.

After all, each successive node heralds a surge in performance-per-watt, reflecting Intel’s pursuit of innovation propels it closer to its lofty aspirations.

Also highlighted are mature process nodes, including new 12 nanometer nodes expected through the joint development with UMC announced last month. These evolutions are designed to enable customers to develop and deliver products tailored to their specific needs. Intel Foundry plans a new node every two years and node evolutions along the way, giving customers a path to evolve their offerings on Intel’s leading process technology continuously. 

“Intel also announced the addition of Intel Foundry FCBGA 2D+ to its comprehensive suite of ASAT offerings, which already include FCBGA 2D, EMIB, Foveros, and Foveros Direct,” the company added. To date, Intel has rolled out products on the first two nodes of Gelsinger’s plan—Intel 7 and Intel 4—and now, the company is gearing up for the next stage with Intel 3 ready for high-volume manufacturing.

 The first product on Intel 3 will be a new generation of Xeon server CPUs, codenamed Sierra Forest, focusing on high-core density, slated for release in the first half of this year. 

Intel scored Microsoft

Intel claims that customers are backing Intel’s long-term systems foundry strategy. Intel Foundry has secured design wins across various process generations, including Intel 18A, Intel 16, and Intel 3, with substantial customer volume on Intel Foundry’s advanced packaging capabilities

Microsoft’s Satya Nadella announced during Gelsinger’s keynote that they’ve selected a chip design for Intel 18A production. “We are in the midst of a fascinating platform shift that will fundamentally transform productivity for every individual organization and the entire industry,” Nadella said. 

“To achieve this vision, we need a reliable supply of the most advanced, high-performance, and high-quality semiconductors. That’s why we are so excited to work with Intel Foundry and why we have chosen a chip design that we plan to produce on the Intel 18A process,” he added.

Intel Foundry anticipates a lifetime deal value exceeding US$15 billion across wafers and advanced packaging.